ABSTRACT

This chapter proposes the various interconnect technologies that can be used for communication between vertically stacked IPs in 3D network-on-chip (NoC). It highlights some of the key advantages of NoC. The chapter focuses on the evolution and advantages of 3D integrated technology and its amalgamation with NoCs to design 3D NoC architectures. The concept of 3D wireless NoC using inductive coupling was first demonstrated by Lee et al. It explains the major design constraints that plague the performance of 3D NoCs. The chapter presents a detailed overview of the common interconnect technologies used in 3D NoCs, namely, radio frequency (RF)/TSV, optical and photonics, carbon-based, and wireless communication. It discusses the use of optical and photonics-based interconnect technology for intra- and interlayer communication in 3D NoCs. Optical technology promises to address several physical problems of metal interconnects, including precise clock distribution, system synchronization, bandwidth and density of long interconnections, and reduction of power dissipation.