ABSTRACT

Design projects are comprised of several phases, specification definition, design, and manufacture. The design phase spans the flow inputting information from the specification phase and outputting information to the manufacturing phase. To facilitate a design, computer-aided design tools are integrated into the flow and customized to aid the designer. A process design kit (PDK) is a complete set of building blocks that are critical for any custom-integrated circuit design. The fundamental elements of a PDK consist of documentation, models for device and macros, schematic symbols, simulation support, physical design elements for device and routing options, technology files and physical verification rule decks for manufacturability and signal integrity. PDK development and support integrated into pure play foundry have proven an essential edge for foundries and customer-owned tooling vendors. A proven design methodology flow can take years to develop and is continuously improving to address the rapid transitions of technologies to tighter lithography and broader frequencies.