ABSTRACT

This chapter describes capabilities of Magma’s Blast Fusion and Blast Rail tool. Built on top of Magma’s unique data model, they offer an integrated analysis and optimization engine, combining synthesis, place and route engines together with extraction, timing, power, and rail analysis capabilities. The chapter discusses only complementary metal oxide semiconductor devices only because this is currently the most prevalent digital Integrated circuit implementation technology. The dynamic power dissipation occurs in logic gates that are in the process of switching from one state to another. The power analysis consists of calculating leakage power, internal power, and switched capacitance power for each cell in the design. The Blast Rail is integrated into Magma’s unified data model, the updated timing information can also be used for timing driven optimizations such as buffer sizing and placement. Identifying and resolving power problems late in the flow may result in expensive, time–consuming iteration cycles.