ABSTRACT

Plasma-enhanced etching technologies are extensively used in the fabrication process of modern low-scale microelectronic devices. To achieve excellent device performance, there are several important requirements for etching techniques: low plasma-induced damage of semiconductor surface, smooth surface etching morphology, high etching selectivity to other materials, and high accuracy control of plasma etching depth. Since chlorine (Cl) radicals were found to be the main etchant of AIII-BV compounds (GaAs and GaN), a number of plasma etching techniques based on Cl-containing [(carbon tetrachloride, boron trichloride (BCl3), and silicon tetrachloride)] mixtures were proposed for promised nitride-based devices fabrication: blue light-emitting devices, powerful high electron mobility transistors, etc. BCl3 gas was found to be an efficient cleaner from surface contaminations and oxide coverings, due to its remarkable ability to bond with surface oxygen and form volatile by-products. Eventually, BCl3-containing plasma has been applied for achievement of slow etching rates and predictable depths for recess etching technologies and mesa isolation patterning. Additionally, a combination of boron deoxidation attribute with high volatility of Cl-based etching by-products has been established for plasma etching of prospect oxide-based insulators such as hafnium oxide, aluminum oxide, and zirconium dioxide.