ABSTRACT

This chapter presents historical context for the ubiquity of Manhattan wiring and then introduces and explores the X interconnect architecture, which combines diagonal and Manhattan wires pervasively to improve integrated circuit layout. It provides an industrial-strength X layout system; X chips can be manufactured and are being used in production; and the benefits, both theoretical and practical, are very substantial. The chapter describes the X interconnect architecture, which uses both Manhattan and diagonal wires pervasively, and introduces some of the many electronic design automation innovations that were required to reduce X to practice. It also describes a few of the tools and techniques used within X place and route that made X layout possible starting in 2001. Placement is typically performed in one of the three ways: recursive partitioning, analytical techniques, or Monte Carlo methods such as simulated annealing.